in Digital Logic
3,016 views
0 votes
0 votes

I want to know how the bit pattern 00, 01 ,10,11 may be obtained using a counter (2 JK flip flops, synchronous).

Truth table for JK :

clock J K next state
0 dc dc old value
1 0 0 old value
1 0 1 0
1 1 0 1
1 1 1 toggle
       
in Digital Logic
3.0k views

2 Answers

0 votes
0 votes

 

Present State Next State Flipflop Inputs*
$A$ $B$ $A^+$ $B^+$ $J_A$ $K_A$ $J_B$ $K_B$
$0$ $0$ $0$ $1$ $0$ $X$ $1$ $X$
$0$ $1$ $1$ $0$ $1$ $X$ $X$ $1$
$1$ $0$ $1$ $1$ $X$ $0$ $1$ $X$
$1$ $1$ $0$ $0$ $X$ $1$ $X$ $1$

*use excitation table of JK flipflop 

Solve for $J_A,K_A,J_B\;\text{and}\;K_B$ using K-map 

$J_B=1\quad K_B=1\quad J_A=B\quad K_A=B$

Now draw the counter using these equations 

2 Comments

Unfortunately i am not able to connect/ paste the diagram.

I drew 2 JK FFs.

Initially :

J1=0  K1=1

J2=0 (clock providing input to it, basically clock is low)

Q1 serves as input to K2 (so, initially K2=0)

This is how, Q1 = Q2 = 0 initially.

Now, i worked it out using a combination of Truth table and excitation table as follows (It seems fine. I want to know if this approach is ok...)

clock J1 K1 Q1 J2 K2 Q2
H 0 1 0(TT) 1 0 1(TT)
L 1 1 1(ET 0 0
retained from previous Q1
1(TT)
H 1 1 0(TT) 1 1
retained from previous Q1
0(TT)
0
0

initially $AB = 00$

$J_B=1 \;, K_B=1;\;\text{Toggle, or}\; B^+= J_BB'+K_B'B=1$

$J_A=B=0 \;, K_A=B=0;\;\text{No Change, or}\; A^+= J_AA'+K_A'A=0$

Next State $AB = 01$

$J_B=1 \;, K_B=1;\;\text{Toggle, or}\; B^+= J_BB'+K_B'B=0$

$J_A=B=1 \;, K_A=B=1;\;\text{Toggle, or}\; A^+= J_AA'+K_A'A=1$

Next State $AB =10$

$J_B=1 \;, K_B=1;\;\text{Toggle, or}\; B^+= J_BB'+K_B'B=1$

$J_A=B=0 \;, K_A=B=0;\;\text{No Change, or}\; A^+= J_AA'+K_A'A=1$

Next state $AB=11$

$J_B=1 \;, K_B=1;\;\text{Toggle, or}\; B^+= J_BB'+K_B'B=0$

$J_A=B=1 \;, K_A=B=1;\;\text{Toggle, or}\; A^+= J_AA'+K_A'A=0$

Next state $AB=00$ .... so on it will repeat the sequence

0
0
0 votes
0 votes

Well according to your question, assume values of q0=0 and q1=0.

 DESIRED OUTPUT IS : 00 01 10 11

 J1=K1=1, J1=Q0 exor Q1 , k0=0 

edited by