in Digital Logic
506 views
0 votes
0 votes

in Digital Logic
506 views

4 Comments

The number of different output states a counter can produce is called the modulo or modulus of the counter. The Modulus (or MOD-number) of a counter is the total number of unique states it passes through in one complete counting cycle with a mod-n counter being described also as a divide-by-n counter.

https://www.electronics-tutorials.ws/counter/mod-counters.html

https://www.slideshare.net/ManojGuha1/modulo-n-counter

with above links - I can say we just need to count no. of states after which it comes back to original state. so in this case

0 0 0
1 0 0
0 1 0
1 0 1
0 1 1
Hence here after execution of 5 states on the next clock it again come back to 0 hence mod-5 counter.

Let me know if I am wrong.

1
1
okay, i think u are correct,

i think no. of distinct states are counted!

if in future i get some counter eaxmple i'll post it.
0
0
Sure , I will be waiting :P
0
0

Please log in or register to answer this question.

Related questions